A Scalable Many-core Overlay Architecture on an HBM2-enabled Multi-Die FPGA

Author:

Abdelhamid Riadh Ben1ORCID,Yamaguchi Yoshiki1ORCID,Boku Taisuke2ORCID

Affiliation:

1. University of Tsukuba, Tsukuba, Ibaraki, Japan

2. Center for Computational Sciences, University of Tsukuba, Tsukuba, Ibaraki, Japan

Abstract

The overlay architecture enables to raise the abstraction level of hardware design and enhances hardware-accelerated applications’ portability. In FPGAs, there is a growing awareness of the overlay structure as typified by many-core architecture. It works in theory; however, it is difficult in practice, because it is beset with serious design issues. For example, the size of FPGAs is bigger than before. It is exacerbating the issue of the place-and-route. Besides, a single FPGA is actually the sum of small-to-middle FPGAs by advancing packaging technology like silicon interposers. Thus, the tightly coupled many-core designs will face this covert issue that the wires among the regions are extremely restricted. This article proposes efficient essential processing elements, micro-architecture design, and the interconnect architecture toward a scalable many-core overlay design. In particular, our work proposes a novel compact buffering technique to reduce memory resource utilization in tightly connected overlays while preserving computational efficiency. This technique reduces the utilization of BlockRAM to nearly 50% while achieving a best-case computational efficiency of 91.93% in a three-dimensional Jacobi benchmark. Besides, the proposed enhancements led to around 2× and 3× improvement in performance and power efficiency, respectively. Moreover, the improved scalability allowed increasing compute resources and delivering around 4× better performance and power efficiency, as compared to the baseline Dynamically Re-programmable Architecture of Gather-scatter Overlay Nodes overlay.

Funder

MEXT as “Next Generation High-Performance Computing Infrastructures and Applications R&D Program”

JSPS KAKENHI

Publisher

Association for Computing Machinery (ACM)

Subject

General Computer Science

Reference53 articles.

1. Mustafa Abbas and Vaughn Betz. 2018. Latency insensitive design styles for FPGAs. In Proceedings of the 28th International Conference on Field Programmable Logic and Applications (FPL’18). IEEE, Los Alamitos, CA, 360–3607. 10.1109/FPL.2018.00068

2. Riadh Ben Abdelhamid et al. 2020. Condensing an overload of parallel computing ingredients into a single architecture recipe. In Proceedings of the IEEE International Conference on Application-specific Systems, Architectures and Processors. IEEE, 25–28. 10.1109/ASAP49362.2020.00013

3. A Highly-Efficient and Tightly-Connected Many-Core Overlay Architecture

4. AXIprotocol. 2013. AMBA AXI and ACE Protocol Specification. Retrieved from https://developer.arm.com/documentation/ihi0022/e/AMBA-AXI3-and-AXI4-Protocol-Specification/Single-Interface-Requirements/Basic-read-and-write-transactions/Handshake-process?lang=en.

5. Riadh Ben Abdelhamid et al. 2019. MITRACA: A next-gen heterogeneous architecture. In Proceedings of the IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip. IEEE, 304–311. 10.1109/MCSoC.2019.00050

Cited by 1 articles. 订阅此论文施引文献 订阅此论文施引文献,注册后可以免费订阅5篇论文的施引文献,订阅后可以查看论文全部施引文献

1. A Near-Memory Dynamically Programmable Many-Core Overlay;2023 IEEE 16th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC);2023-12-18

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3