Cell transformations and physical design techniques for 3D monolithic integrated circuits

Author:

Bobba Shashikanth1,Chakraborty Ashutosh2,Thomas Olivier3,Batude Perrine3,Micheli Giovanni de1

Affiliation:

1. École Polytechnique Fédérale de Lausanne (EPFL), Switzerland

2. Oracle Microelectronics

3. CEA-LETI, France

Abstract

3D Monolithic Integration (3DMI), also termed as sequential integration, is a potential technology for future gigascale circuits. In 3DMI technology the 3D contacts, connecting different active layers, are in the order of few 100nm. Given the advantage of such small contacts, 3DMI enables fine-grain (gate-level) partitioning of circuits. In this work we present three cell transformation techniques for standard cell-based ICs with 3DMI technology. As a major contribution of this work, we propose a design flow comprising of a cell transformation technique, cell-on-cell stacking , and a physical design technique (CELONCEL PD ) aimed at placing cells transformed with cell-on-cell stacking. We analyze and compare various cell transformation techniques for 3DMI technology without disrupting the regularity of the IC design flow. Our experiments demonstrate the effectiveness of CELONCEL design technique, yielding us an area reduction of 37.5%, 16.2% average reduction in wirelength, and 6.2% average improvement in overall delay, compared with a 2D case when benchmarked across various designs in 45nm technology node.

Funder

Seventh Framework Programme

ST-IBM-LETI alliance program

Publisher

Association for Computing Machinery (ACM)

Subject

Electrical and Electronic Engineering,Hardware and Architecture,Software

Cited by 10 articles. 订阅此论文施引文献 订阅此论文施引文献,注册后可以免费订阅5篇论文的施引文献,订阅后可以查看论文全部施引文献

1. Aggressive GPU cache bypassing with monolithic 3D-based NoC;The Journal of Supercomputing;2022-10-21

2. Power Management of Monolithic 3D Manycore Chips with Inter-tier Process Variations;ACM Journal on Emerging Technologies in Computing Systems;2021-04

3. McPAT-Monolithic: An Area/Power/Timing Architecture Modeling Framework for 3-D Hybrid Monolithic Multicore Systems;IEEE Transactions on Very Large Scale Integration (VLSI) Systems;2020-10

4. Inter-Tier Process-Variation-Aware Monolithic 3-D NoC Design Space Exploration;IEEE Transactions on Very Large Scale Integration (VLSI) Systems;2020-03

5. Impact of Electrostatic Coupling on Monolithic 3D-enabled Network on Chip;ACM Transactions on Design Automation of Electronic Systems;2019-11-14

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3