Hybrid Optimization Algorithm Based on Double Particle Swarm in 3D NoC Mapping

Author:

Fang Juan1ORCID,Cai Huayi1,Lv Xin1

Affiliation:

1. Faculty of Information Technology, Beijing University of Technology, Beijing 100124, China

Abstract

Increasing the number of cores on a chip is one way to solve the bottleneck of exponential growth but an excessive number of cores can lead to problems such as communication blockage and overheating of the chip. Currently, networks-on-chip (NoC) can offer an effective solution to the problem of the communication bottleneck within the chip. With current advancements in IC manufacturing technology, chips can now be 3D-stacked in order to increase chip throughput as well as reduce power consumption while reducing the area of the chip. Automating the mapping of applications into 3D NoC topologies is an important new direction for research in the field of 3D NoC. In this paper, a 3D NoC partitioning algorithm is proposed, which can delineate the 3D NoC region to be mapped. Additionally, a double particle swarm optimization (DPSO) based heuristic algorithm is proposed, which can integrate the characteristics of neighborhood search and genetic algorithms, and thus solve the problem of a particle swarm algorithm falling into local optimal solutions. It is experimentally demonstrated that this DPSO-based hybrid optimization algorithm has a higher throughput rate and lower energy loss than the traditional heuristic algorithm.

Funder

National Natural Science Foundation of China

Publisher

MDPI AG

Subject

Electrical and Electronic Engineering,Mechanical Engineering,Control and Systems Engineering

Reference21 articles.

1. Skadron, K., Stan, M.R., Huang, W., Velusamy, S., Sankaranarayanan, K., and Tarjan, D. (2003, January 9–11). Temperature-aware microarchitecture. Proceedings of the 30th Annual International Symposium on Computer Architecture, San Diego, CA, USA.

2. Wang, F., Xie, Y., Vijaykrishnan, N., and Irwin, M.J. (2006, January 6–10). On-chip Bus Thermal Analysis and Optimization. Proceedings of the Design Automation & Test in Europe Conference, Munich, Germany.

3. Hertl, M., Weidmann, D., and Ngai, A. (July, January 30). An advanced reliability improvement and failure analysis approach to thermal stress issues in IC packages. Proceedings of the Physical and Failure Analysis of Integrated Circuits, IPFA 2009, 16th IEEE International Symposium on the IEEE, Suzhou, China.

4. Liu, G., Ming, F., and Gang, Q. (2012, January 12–16). Neighbor-aware dynamic thermal management for multi-core platform. Proceedings of the Conference on Design, Automation and Test in Europe, Dresden, Germany.

5. Implementation of a thermal management unit for canceling temperature-dependent clock skew variations;Chakraborty;Integr. VLSI J.,2008

Cited by 4 articles. 订阅此论文施引文献 订阅此论文施引文献,注册后可以免费订阅5篇论文的施引文献,订阅后可以查看论文全部施引文献

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3