Debanking Techniques on Multi-bit Flip-flops for Reinforcing Useful Clock Skew Scheduling

Author:

Yang Jaewan1,Kim Taewhan1

Affiliation:

1. Seoul National University,School of Electrical and Computer Engineering,South Korea

Funder

Samsung

Samsung Advanced Institute of Technology

National Research Foundation

Publisher

IEEE

Reference19 articles.

1. Post-placement power optimization with multi-bit flip-flops

2. INTEGRA: Fast Multibit Flip-Flop Clustering for Clock Power Saving

3. Effective and efficient approach for power reduction by using multi-bit flip-flops;shyu;TVLSI,2012

4. Clock-gating and its application to low power design of sequential circuits;wu;TCASI Fundamental Theory and Applications,2000

Cited by 2 articles. 订阅此论文施引文献 订阅此论文施引文献,注册后可以免费订阅5篇论文的施引文献,订阅后可以查看论文全部施引文献

1. Optimizing Timing for Multi-bit Flip-Flop Intensive Designs Compatible with Commercial EDA Flow;2023 20th International SoC Design Conference (ISOCC);2023-10-25

2. Allocation of Multi-bit Flip-Flops Targeting Low-Power Chips;2023 20th International SoC Design Conference (ISOCC);2023-10-25

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3