Design strategy of extreme ultraviolet resists

Author:

Kozawa TakahiroORCID

Abstract

Abstract The high-volume production of semiconductor devices with EUV lithography started in 2019. During the development of EUV lithography, the resist materials had always been ranked high in the focus area for its realization. The trade-off relationships between the resolution, line width roughness, and sensitivity were the most serious problem. EUV lithography started with the use of chemically amplified resists after the material chemistry was optimized on the basis of radiation chemistry. The increase of numerical aperture has been scheduled to enhance the optical resolution. For the realization of next-generation lithography, the suppression of stochastic effects is the most important issue. A highly absorptive material is key to the suppression of stochastic effects. The development of next-generation EUV resists has progressed around chemically amplified resists, metal oxide resists, and main-chain-scission-type resists. EUV resists are reviewed from the viewpoint of the material design for the suppression of stochastic effects.

Publisher

IOP Publishing

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3