Standard-Cell-Based Comparators for Ultra-Low Voltage Applications: Analysis and Comparisons

Author:

Della Sala Riccardo1ORCID,Centurelli Francesco1ORCID,Scotti Giuseppe1ORCID,Palumbo Gaetano2ORCID

Affiliation:

1. Dipartimento di Ingegneria dell’Informazione, Elettronica e Telecomunicazioni (DIET), Università degli Studi di Roma “La Sapienza”, 00184 Rome, Italy

2. Dipartimento di Ingegneria Elettrica, Elettronica e Informatica (DIEEI), Università degli Studi di Catania, 95123 Catania, Italy

Abstract

This work is focused on the performance of three different standard-cell-based comparator topologies, considering ultra-low-voltage (ULV) operation. The main application scenarios in which standard-cell-based comparators can be exploited are considered, and a set of figures of merit (FoM) to allow an in-depth comparison among the different topologies is introduced. Then, a set of simulation testbenches are defined in order to simulate and compare the considered topologies implemented in both a 130 nm technology and a 28 nm FDSOI CMOS process. Propagation delay, power consumption and power–delay product are evaluated for different values of the input common mode voltage, as a function of input differential amplitude, and in different supply voltage and temperature conditions. Monte Carlo simulations to evaluate the input offset voltage under mismatch variations are also provided. Simulation results show that the performances of the different comparator topologies are strongly dependent on the input common mode voltage, and that the best values for all the performance figures of merit are achieved by the comparator based on three-input NAND gates, with the only limitation being its non-rail-to-rail input common mode range (ICMR). The performances of the considered comparator topologies have also been simulated for different values of the supply voltage, ranging from 0.3 V to 1.2 V, showing that, even if standard-cell-based comparators can be operated at higher supply voltages by scaling their performances accordingly, the best values of the FoMs are achieved for VDD = 0.3 V.

Publisher

MDPI AG

Reference77 articles.

1. A 10-bit 200-MS/s CMOS parallel pipeline A/D converter;Sumanen;IEEE J. Solid-State Circuits,2001

2. An embedded 0.8 V/480 /spl mu/W 6b/22 MHz flash ADC in 0.13-/spl mu/m digital CMOS process using a nonlinear double interpolation technique;Lin;IEEE J. Solid-State Circuits,2002

3. An all-digital scalable and reconfigurable wide-input range stochastic ADC using only standard cells;Fahmy;IEEE Trans. Circuits Syst. II,2015

4. Sense amplifier comparator with offset correction for decision feedback equalization based receivers;Kadayinti;Microelectron. J.,2017

5. A novel low offset low power CMOS dynamic comparator;Gandhi;Analog. Integr. Circ. Sig. Process.,2018

Cited by 1 articles. 订阅此论文施引文献 订阅此论文施引文献,注册后可以免费订阅5篇论文的施引文献,订阅后可以查看论文全部施引文献

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3