Polymer Nanoparticles Applied in the CMP (Chemical Mechanical Polishing) Process of Chip Wafers for Defect Improvement and Polishing Removal Rate Response

Author:

Chiu Wei-Lan1,Huang Ching-I1

Affiliation:

1. Institute of Polymer Science and Engineering, National Taiwan University, Taipei 10617, Taiwan

Abstract

Chemical mechanical planarization (CMP) is a wafer-surface-polishing planarization technique based on a wet procedure that combines chemical and mechanical forces to fully flatten materials for semiconductors to be mounted on the wafer surface. The achievement of devices of a small nano-size with few defects and good wafer yields is essential in enabling IC chip manufacturers to enhance their profits and become more competitive. The CMP process is applied to produce many IC generations of nanometer node, or those of even narrower line widths, for a better performance and manufacturing feasibility. Slurry is a necessary supply for CMP. The most critical component in slurry is an abrasive particle which affects the removal rates, uniformity, defects, and removal selectivity for the materials on the wafer surface. The polishing abrasive is the source of mechanical force. Conventional CMP abrasives consist of colloidal silica, fume silica or other inorganic polishing particles in the slurries. We were the first to systematically study nanoparticles of the polymer type applied in CMP, and to compare traditional inorganic and polymer nanoparticles in terms of polishing performance. In particular, the polymer nanoparticle size, shape, solid content dosing ratio, and molecular types were examined. The polishing performance was measured for the polishing removal rates, total defect counts, and uniformity. We found that the polymer nanoparticles significantly improved the total defect counts and uniformity, although the removal rates were lower than the rates obtained using inorganic nanoparticles. However, the lower removal rates of the polymer nanoparticles are acceptable due to the thinner film materials used for smaller IC device nodes, which may be below 10 nm. We also found that the physical properties of polymer nanoparticles, in terms of their size, shape, and different types of copolymer molecules, cause differences in the polishing performance. Meanwhile, we used statistical analysis software to analyze the data on the polishing removal rates and defect counts. This method helps to determine the most suitable polymer nanoparticle for use as a slurry abrasive, and improves the reliability trends for defect counts.

Publisher

MDPI AG

Subject

Polymers and Plastics,General Chemistry

Cited by 1 articles. 订阅此论文施引文献 订阅此论文施引文献,注册后可以免费订阅5篇论文的施引文献,订阅后可以查看论文全部施引文献

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3