Time-Multiplexed FPGA Overlay Architectures

Author:

Li Xiangwei1ORCID,Maskell Douglas L.1ORCID

Affiliation:

1. Nanyang Technological University, Singapore

Abstract

This article presents a comprehensive survey of time-multiplexed (TM) FPGA overlays from the research literature. These overlays are categorized based on their implementation into two groups: processor-based overlays, as their implementation follows that of conventional silicon-based microprocessors, and; CGRA-like overlays, with either an array of interconnected processor-based functional units or medium-grained arithmetic functional units. Time-multiplexing the overlay allows it to change its behavior with a cycle-by-cycle execution of the application kernel, thus allowing better sharing of the limited FPGA hardware resource. However, most TM overlays suffer from large resource overheads, due to either the underlying processor-like architecture (for processor-based overlays) or due to the routing array and instruction storage requirements (for CGRA-like overlays). Reducing the area overhead for CGRA-like overlays, specifically that required for the routing network, and better utilizing the hard macros in the target FPGA are active areas of research.

Funder

Ministry of Education (MoE), Singapore

Publisher

Association for Computing Machinery (ACM)

Subject

Electrical and Electronic Engineering,Computer Graphics and Computer-Aided Design,Computer Science Applications

Reference81 articles.

1. COBHAM GAISLER AB. 2017. GRLIB IP core user’s manual. (2017). COBHAM GAISLER AB. 2017. GRLIB IP core user’s manual. (2017).

2. FGPU

3. Altera. 2016. Nios II processor reference handbook. Altera. 2016. Nios II processor reference handbook.

4. FlexGrip: A soft GPGPU for FPGAs

5. Enabling GPGPU Low-Level Hardware Explorations with MIAOW

Cited by 15 articles. 订阅此论文施引文献 订阅此论文施引文献,注册后可以免费订阅5篇论文的施引文献,订阅后可以查看论文全部施引文献

1. A Visionary Look at the Security of Reconfigurable Cloud Computing;Proceedings of the IEEE;2023-12

2. Modular VNF Components Acceleration With FPGA Overlays;IEEE Transactions on Network and Service Management;2023-03

3. A Scalable Many-core Overlay Architecture on an HBM2-enabled Multi-Die FPGA;ACM Transactions on Reconfigurable Technology and Systems;2023-01-18

4. TelaMalloc: Efficient On-Chip Memory Allocation for Production Machine Learning Accelerators;Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 1;2022-12-19

5. An efficient FPGA overlay for MPI-2 RMA parallel applications;2022 20th IEEE Interregional NEWCAS Conference (NEWCAS);2022-06-19

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3