LTRF

Author:

Sadrosadati Mohammad1,Mirhosseini Amirhossein2,Ehsani Seyed Borna3,Sarbazi-Azad Hamid4,Drumond Mario5,Falsafi Babak5,Ausavarungnirun Rachata6,Mutlu Onur7

Affiliation:

1. Sharif University of Technology&ETH Zurich, Tehran, Iran

2. University of Michigan, Ann Arbor, MI, USA

3. Sharif University of Technology, Tehran, Iran

4. Sharif University of Technology&IPM, Tehran, Iran

5. EPFL, Lausanne, Switzerland

6. Carnegie Mellon University, Pittsburgh, PA, USA

7. ETH Zurich&Carnegie Mellon University, Zurich, Switzerland

Abstract

Graphics Processing Units (GPUs) employ large register files to accommodate all active threads and accelerate context switching. Unfortunately, register files are a scalability bottleneck for future GPUs due to long access latency, high power consumption, and large silicon area provisioning. Prior work proposes hierarchical register file, to reduce the register file power consumption by caching registers in a smaller register file cache. Unfortunately, this approach does not improve register access latency due to the low hit rate in the register file cache. In this paper, we propose the Latency-Tolerant Register File (LTRF) architecture to achieve low latency in a two-level hierarchical structure while keeping power consumption low. We observe that compile-time interval analysis enables us to divide GPU program execution into intervals with an accurate estimate of a warp's aggregate register working-set within each interval. The key idea of LTRF is to prefetch the estimated register working-set from the main register file to the register file cache under software control, at the beginning of each interval, and overlap the prefetch latency with the execution of other warps. Our experimental results show that LTRF enables high-capacity yet long-latency main GPU register files, paving the way for various optimizations. As an example optimization, we implement the main register file with emerging high-density high-latency memory technologies, enabling 8X larger capacity and improving overall GPU performance by 31% while reducing register file power consumption by 46%.

Publisher

Association for Computing Machinery (ACM)

Subject

Computer Graphics and Computer-Aided Design,Software

Reference84 articles.

1. "LTRF Register-Interval-Algorithm " https://github.com/Carnegie Mellon University-SAFARI/Register-Interval. "LTRF Register-Interval-Algorithm " https://github.com/Carnegie Mellon University-SAFARI/Register-Interval.

2. Warped register file: A power efficient register file for GPGPUs

Cited by 3 articles. 订阅此论文施引文献 订阅此论文施引文献,注册后可以免费订阅5篇论文的施引文献,订阅后可以查看论文全部施引文献

1. Criticality-aware priority to accelerate GPU memory access;The Journal of Supercomputing;2022-07-06

2. Virtual-Cache: A cache-line borrowing technique for efficient GPU cache architectures;Microprocessors and Microsystems;2021-09

3. Efficient Nearest-Neighbor Data Sharing in GPUs;ACM Transactions on Architecture and Code Optimization;2021-01-21

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3