Refresh pausing in DRAM memory systems

Author:

Nair Prashant J.1,Chou Chia-Chen1,Qureshi Moinuddin K.1

Affiliation:

1. School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA

Abstract

Dynamic Random Access Memory (DRAM) cells rely on periodic refresh operations to maintain data integrity. As the capacity of DRAM memories has increased, so has the amount of time consumed in doing refresh. Refresh operations contend with read operations, which increases read latency and reduces system performance. We show that eliminating latency penalty due to refresh can improve average performance by 7.2%. However, simply doing intelligent scheduling of refresh operations is ineffective at obtaining significant performance improvement. This article provides an alternative and scalable option to reduce the latency penalty due to refresh. It exploits the property that each refresh operation in a typical DRAM device internally refreshes multiple DRAM rows in JEDEC-based distributed refresh mode. Therefore, a refresh operation has well-defined points at which it can potentially be Paused to service a pending read request. Leveraging this property, we propose Refresh Pausing , a solution that is highly effective at alleviating the contention from refresh operations. It provides an average performance improvement of 5.1% for 8Gb devices and becomes even more effective for future high-density technologies. We also show that Refresh Pausing significantly outperforms the recently proposed Elastic Refresh scheme.

Publisher

Association for Computing Machinery (ACM)

Subject

Hardware and Architecture,Information Systems,Software

Reference22 articles.

1. Vinodh Cuppu Bruce Jacob Brian Davis and Trevor Mudge. 1999. A performance comparison of contemporary DRAM architectures. In ISCA-26. 10.1145/300979.300998 Vinodh Cuppu Bruce Jacob Brian Davis and Trevor Mudge. 1999. A performance comparison of contemporary DRAM architectures. In ISCA-26. 10.1145/300979.300998

2. Mrinmoy Ghosh and Hsien-Hsin S. Lee. 2007. Smart refresh: An enhanced memory controller design for reducing energy in conventional and 3D die-stacked DRAMs. In MICRO-40. 10.1109/MICRO.2007.38 Mrinmoy Ghosh and Hsien-Hsin S. Lee. 2007. Smart refresh: An enhanced memory controller design for reducing energy in conventional and 3D die-stacked DRAMs. In MICRO-40. 10.1109/MICRO.2007.38

3. ESKIMO

Cited by 19 articles. 订阅此论文施引文献 订阅此论文施引文献,注册后可以免费订阅5篇论文的施引文献,订阅后可以查看论文全部施引文献

1. Scalable and Secure Row-Swap: Efficient and Safe Row Hammer Mitigation in Memory Systems;2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA);2023-02

2. Constraint Models of SDRAM-Based Arbitrary Waveform Generator;IEEE Transactions on Instrumentation and Measurement;2023

3. Refresh Triggered Computation;ACM Transactions on Architecture and Code Optimization;2021-01-21

4. CROW;Proceedings of the 46th International Symposium on Computer Architecture;2019-06-22

5. Point defect chemistry of donor-doped bismuth titanate ceramic;Journal of Materials Science: Materials in Electronics;2018-12-14

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3