Built-in Self-Test and Fault Localization for Inter-Layer Vias in Monolithic 3D ICs

Author:

Chaudhuri Arjun1,Banerjee Sanmitra1,Kim Jinwoo2,Park Heechun2,Ku Bon Woong2,Kannan Sukeshwar3,Chakrabarty Krishnendu1,Lim Sung Kyu2

Affiliation:

1. Duke University, Durham, NC

2. Georgia Institute of Technology, Atlanta, GA

3. Broadcom Inc., San Jose, CA

Abstract

Monolithic 3D (M3D) integration provides massive vertical integration through the use of nanoscale inter-layer vias (ILVs). However, high integration density and aggressive scaling of the inter-layer dielectric make ILVs especially prone to defects. We present a low-cost built-in self-test (BIST) method that requires only two test patterns to detect opens, stuck-at faults, and bridging faults (shorts) in ILVs. We also propose an extended BIST architecture for fault detection, called Dual-BIST, to guarantee zero ILV fault masking due to single BIST faults and negligible ILV fault masking due to multiple BIST faults. We analyze the impact of coupling between adjacent ILVs arranged in a 1D array in block-level partitioned designs. Based on this analysis, we present a novel test architecture called Shared-BIST with the added functionality of localizing single and multiple faults, including coupling-induced faults. We introduce a systematic clustering-based method for designing and integrating a delay bank with the Shared-BIST architecture for testing small-delay defects in ILVs with minimal yield loss. Simulation results for four two-tier M3D benchmark designs highlight the effectiveness of the proposed BIST framework.

Funder

DARPA ERI 3DSOC Program

National Science Foundation

Publisher

Association for Computing Machinery (ACM)

Subject

Electrical and Electronic Engineering,Hardware and Architecture,Software

Reference54 articles.

1. 1999. OpenCore Benchmark Suite. Retrieved from http://www.opencores.org/. 1999. OpenCore Benchmark Suite. Retrieved from http://www.opencores.org/.

2. 2009. Small-delay-defect Testing. Retrieved from https://www.edn.com/small-delay-defect-testing-2/. 2009. Small-delay-defect Testing. Retrieved from https://www.edn.com/small-delay-defect-testing-2/.

3. 2019. Monolithic 3D Roadmap. Retrieved from https://www.3dincites.com/2019/03/coolcube-more-than-a-true-3d-vlsi-alternative-to-scaling/. 2019. Monolithic 3D Roadmap. Retrieved from https://www.3dincites.com/2019/03/coolcube-more-than-a-true-3d-vlsi-alternative-to-scaling/.

Cited by 1 articles. 订阅此论文施引文献 订阅此论文施引文献,注册后可以免费订阅5篇论文的施引文献,订阅后可以查看论文全部施引文献

1. Built-In Self-Test of High-Density and Realistic ILV Layouts in Monolithic 3-D ICs;IEEE Transactions on Very Large Scale Integration (VLSI) Systems;2023-03

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3