A Survey of of Side-Channel Attacks and Mitigation for Processor Interconnects

Author:

Yuan Jie12,Zhang Jing12,Qiu Pengfei12,Wei Xinghai12ORCID,Liu Dongxiao12ORCID

Affiliation:

1. School of Cyberspace Security, Beijing University of Posts and Telecommunications, Beijing 100876, China

2. Key Laboratory of Trustworthy Distributed Computing and Service (BUPT), Ministry of Education, Beijing 100876, China

Abstract

With advancements in chip technology, the number of cores in modern commercial processors continues to rise, leading to increased complexity in interconnects and on-chip networks. This complexity, however, exposes significant security vulnerabilities, primarily in the form of side-channel and covert-channel exploits. Unlike other microarchitectural side-channel attacks, those leveraging on-chip interconnects utilize unique characteristics, allowing attackers to develop novel methods that can bypass existing effective defenses. In this paper, we present a comprehensive survey of current side-channel and covert-channel attacks based on processor-on-chip interconnects. We categorize these attacks into three types: contention-based, distance-based, and layout-based, according to the specific interconnect characteristics they exploit, and discuss corresponding countermeasures for each. Finally, we provide an outlook on future development trends in processor interconnect side channels. This survey is the first to specifically focus on interconnect-based side channels in processors.

Funder

the National Key Research and Development Program of China under Grant

Publisher

MDPI AG

Reference92 articles.

1. Kocher, P., Horn, J., Fogh, A., Genkin, D., Gruss, D., Haas, W., Hamburg, M., Lipp, M., Mangard, S., and Prescher, T. (2019, January 19–23). Spectre Attacks: Exploiting Speculative Execution. Proceedings of the 2019 IEEE Symposium on Security and Privacy (SP), San Francisco, CA, USA.

2. Lipp, M., Schwarz, M., Gruss, D., Prescher, T., Haas, W., Fogh, A., Horn, J., Mangard, S., Kocher, P., and Genkin, D. (2018, January 15–17). Meltdown: Reading kernel memory from user space. Proceedings of the 27th Security Symposium Security 2018, Baltimore, MD, USA.

3. Timing Side-Channel Attacks and Countermeasures in CPU Microarchitectures;Zhang;ACM Comput. Surv.,2024

4. Wang, Y., Paccagnella, R., Shacham, H., Fletcher, C., and Kohlbrenner, D. (2022, January 10–12). Hertzbleed: Turning Power Side-Channel Attacks Into Remote Timing Attacks on x86. Proceedings of the 31st USENIX Security Symposium (USENIX Security 22), Boston, MA, USA.

5. Gast, S., Juffinger, J., Schwarzl, M., Saileshwar, G., Kogler, A., Franza, S., Köstl, M., and Gruss, D. (2023, January 22–25). SQUIP: Exploiting the Scheduler Queue Contention Side Channel. Proceedings of the 2023 IEEE Symposium on Security and Privacy (SP), San Francisco, CA, USA.

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3