Electromigration-Aware Memory Hierarchy Architecture

Author:

Gabbay Freddy1ORCID,Mendelson Avi2

Affiliation:

1. Engineering Faculty, Ruppin Academic Center, Emek Hefer 4025000, Israel

2. Computer Science and Electrical and Computer Engineering Departments, Technion—Israel Institute of Technology, Haifa 3200000, Israel

Abstract

New mission-critical applications, such as autonomous vehicles and life-support systems, set a high bar for the reliability of modern microprocessors that operate in highly challenging conditions. However, while cutting-edge integrated circuit (IC) technologies have intensified microprocessors by providing remarkable reductions in the silicon area and power consumption, they also introduce new reliability challenges through the complex design rules they impose, creating a significant hurdle in the design process. In this paper, we focus on electromigration (EM), which is a crucial factor impacting IC reliability. EM refers to the degradation process of IC metal nets when used for both power supply and interconnecting signals. Typically, EM concerns have been addressed at the backend, circuit, and layout levels, where EM rules are enforced assuming extreme conditions to identify and resolve violations. This study presents new techniques that leverage architectural features to mitigate the effect of EM on the memory hierarchy of modern microprocessors. Architectural approaches can reduce the complexity of solving EM-related violations, and they can also complement and enhance common existing methods. In this study, we present a comprehensive simulation analysis that demonstrates how the proposed solution can significantly extend the lifetime of a microprocessor’s memory hierarchy with minimal overhead in terms of performance, power, and area while relaxing EM design efforts.

Publisher

MDPI AG

Subject

Electrical and Electronic Engineering

Reference31 articles.

1. Xuan, X. (2004). Analysis and Design of Reliable Mixed-Signal CMOS Circuits. [Ph.D. Thesis, Georgia Institute of Technology, Department of Electrical and Computer Engineering].

2. Lienig, J., and Jerke, G. (2005, January 3–7). Embedded Tutorial: Electromigration-Aware Physical Design of Integrated Circuits. Proceedings of the 18th International Conference on VLSI Design Held Jointly with 4th International Conference on Embedded Systems Design, Kolkata, India.

3. Lienig, J. (2006, January 9–12). Introduction to electromigration-aware physical design. Proceedings of the International Symposium on Physical Design (ISPD’06), New York, NY, USA.

4. Maiz, J.A. (1989, January 11–13). Characterization of electromigration under bidirectional (BC) and pulsed unidirectional (PDC) currents. Proceedings of the 27th Annual Proceedings., International Reliability Physics Symposium, Phoenix, AZ, USA.

5. Jonggook, K., Tyree, V.C., and Crowell, C.R. (1999, January 18–21). Temperature gradient effects in electromigration using an extended transition probability model and temperature gradient free tests. I. Transition probability model. Proceedings of the 1999 IEEE International Integrated Reliability Workshop Final Report (Cat. No. 99TH8460), Lake Tahoe, CA, USA.

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3