HMTT

Author:

Bao Yungang1,Chen Mingyu1,Ruan Yuan1,Liu Li1,Fan Jianping1,Yuan Qingbo1,Song Bo1,Xu Jianwei1

Affiliation:

1. Chinese Academy of Sciences, Beijing, China

Abstract

Memory trace analysis is an important technology for architecture research, system software (i.e., OS, compiler) optimization, and application performance improvements. Many approaches have been used to track memory trace, such as simulation, binary instrumentation and hardware snooping. However, they usually have limitations of time, accuracy and capacity. In this paper we propose a platform independent memory trace monitoring system, which is able to track virtual memory reference trace of full systems (including OS, VMMs, libraries, and applications). The system adopts a DIMM-snooping mechanism that uses hardware boards plugged in DIMM slots to snoop. There are several advantages in this approach, such as fast, complete, undistorted, and portable. Three key techniques are proposed to address the system design challenges with this mechanism: (1) To keep up with memory speeds, the DDR protocol state machine is simplified, and large FIFOs are added between the state machine and the trace transmitting logic to handle burst memory accesses; (2) To reconstruct physical-tovirtual mapping and distinguish one process' address space from others, an OS kernel module, which collects page table information, and a synchronization mechanism, which synchronizes the page table information with the memory race, are developed; (3) To dump massive trace data, we employ a straightforward method to compress the trace and use Gigabit Ethernet and RAID to send and receive the compressed trace. We present our implementation of an initial monitoring system, named HMTT (Hyper Memory Trace Tracker). Using HMTT, we have observed that burst bandwidth utilization is much larger than average bandwidth utilization, by up to 5X in desktop applications. We have also confirmed that the stream memory accesses of many applications contribute even more than 40% of L2 Cache misses and OS virtual memory management may decrease stream accesses in view of memory controller (or L2 Cache), by up to 30.2%. Moreover, we have evaluated OS impact on memory performance in real systems. The evaluations and case studies show the feasibility and effectiveness of our proposed monitoring mechanism and techniques.

Publisher

Association for Computing Machinery (ACM)

Subject

Computer Networks and Communications,Hardware and Architecture,Software

Reference52 articles.

1. DyninstAPI. http://www.dyninst.org/. DyninstAPI. http://www.dyninst.org/.

2. Linux Trace Toolkit Next Generation. http://ltt.polymtl.ca/. Linux Trace Toolkit Next Generation. http://ltt.polymtl.ca/.

3. M5. http://m5.eecs.umich.edu/. M5. http://m5.eecs.umich.edu/.

Cited by 13 articles. 订阅此论文施引文献 订阅此论文施引文献,注册后可以免费订阅5篇论文的施引文献,订阅后可以查看论文全部施引文献

1. A shared libraries aware and bank partitioning-based mechanism for multicore architecture;Soft Computing;2023-04-24

2. Split'n Trace NVM: Leveraging Library OSes for Semantic Memory Tracing;2020 9th Non-Volatile Memory Systems and Applications Symposium (NVMSA);2020-08

3. HMFS: A hybrid in-memory file system with version consistency;Journal of Parallel and Distributed Computing;2018-07

4. PTAT;ACM Transactions on Embedded Computing Systems;2018-05-31

5. A New Method of Live Tracking of Process Memory;Proceedings of the 2nd International Conference on Cryptography, Security and Privacy;2018-03-16

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3