ThunderGP: Resource-Efficient Graph Processing Framework on FPGAs with HLS

Author:

Chen Xinyu1,Cheng Feng2,Tan Hongshi1,Chen Yao3,He Bingsheng1,Wong Weng-Fai1,Chen Deming4

Affiliation:

1. National University of Singapore, Singapore

2. National University of Singapore and City University of Hong Kong, Kowloon Tong, Hong Kong

3. Advanced Digital Sciences Center, Singapore

4. University of Illinois at Urbana–Champaign, Illinois, United States

Abstract

FPGA has been an emerging computing infrastructure in datacenters benefiting from fine-grained parallelism, energy efficiency, and reconfigurability. Meanwhile, graph processing has attracted tremendous interest in data analytics, and its performance is in increasing demand with the rapid growth of data. Many works have been proposed to tackle the challenges of designing efficient FPGA-based accelerators for graph processing. However, the largely overlooked programmability still requires hardware design expertise and sizable development efforts from developers. ThunderGP , a high-level synthesis based graph processing framework on FPGAs, is hence proposed to close the gap, with which developers could enjoy high performance of FPGA-accelerated graph processing by writing only a few high-level functions with no knowledge of the hardware. ThunderGP adopts the gather-apply-scatter model as the abstraction of various graph algorithms and realizes the model by a built-in highly parallel and memory-efficient accelerator template. With high-level functions as inputs, ThunderGP automatically explores massive resources of multiple super-logic regions of modern FPGA platforms to generate and deploy accelerators, as well as schedule tasks for them. Although ThunderGP on DRAM-based platforms is memory bandwidth bounded, recent high bandwidth memory (HBM) brings large potentials to performance. However, the system bottleneck shifts from memory bandwidth to resource consumption on HBM-enabled platforms. Therefore, we further propose to improve resource efficiency of ThunderGP to utilize more memory bandwidth from HBM. We conduct evaluation with seven common graph applications and 19 graphs. ThunderGP on DRAM-based hardware platforms provides 1.9× ∼ 5.2× improvement on bandwidth efficiency over the state of the art, whereas ThunderGP on HBM-based hardware platforms delivers up to 5.2× speedup over the state-of-the-art RTL-based approach. This work is open sourced on GitHub at https://github.com/Xtra-Computing/ThunderGP .

Publisher

Association for Computing Machinery (ACM)

Subject

General Computer Science

Reference74 articles.

1. Alibaba Cloud;https://www.alibabacloud.com/.,2020

2. Amazon F1 Cloud;https://aws.amazon.com/ec2/instance-types/f1/.,2020

3. Large-Scale Graph Processing on FPGAs with Caches for Thousands of Simultaneous Misses

4. Graph processing on FPGAs: Taxonomy, survey, challenges;Besta Maciej;arXiv preprint arXiv:1903.06697,2019

5. A decoupled access-execute architecture for reconfigurable accelerators

Cited by 2 articles. 订阅此论文施引文献 订阅此论文施引文献,注册后可以免费订阅5篇论文的施引文献,订阅后可以查看论文全部施引文献

1. Modular and Lean Architecture with Elasticity for Sparse Matrix Vector Multiplication on FPGAs;2023 IEEE 31st Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM);2023-05

2. Case Studies of Configurable Binary Design Library on FPGA;2022 International Symposium on Measurement and Control in Robotics (ISMCR);2022-09-28

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3