A Hybrid Technique for Discrete Gate Sizing Based on Lagrangian Relaxation

Author:

Livramento Vinicius S.1,Guth Chrystian1,Güntzel José Luís1,Johann Marcelo O.2

Affiliation:

1. Federal University of Santa Catarina, Brazil

2. Federal University of Rio Grande do Sul, Brazil

Abstract

Discrete gate sizing has attracted a lot of attention recently as the EDA industry faces the challenge of optimizing large standard cell-based circuits. The discrete nature of the problem, along with complex timing models, stringent design constraints, and ever-increasing circuit sizes, make the problem very difficult to tackle. Lagrangian Relaxation (LR) is an effective technique to handle complex constrained optimization problems and therefore has been successfully applied to solve the gate sizing problem. This article proposes an improved Lagrangian relaxation formulation for discrete gate sizing that relaxes timing, maximum gate input slew, and maximum gate output capacitance constraints. Based on such formulation, we propose a hybrid technique composed of three steps. First, a topological greedy heuristic solves the LR formulation. Such a heuristic is applied assuming a slightly increased target clock period (backoff factor) to better explore the solution space. Second, a delay recovery heuristic reestablishes the original target clock with small power overhead. Third, a power recovery heuristic explores the remaining slacks to further reduce power. Experiments on the ISPD 2012 Contest benchmarks show that our hybrid technique provides less leakage power than the state-of-the-art work for every circuit from the ISPD 2012 Contest infrastructure, achieving up to 24% less leakage. In addition, our technique achieves a much better compromise between leakage reduction and runtime, obtaining, on average, 9% less leakage power while running 8.8 times faster.

Funder

Conselho Nacional de Desenvolvimento Científico e Tecnológico

Publisher

Association for Computing Machinery (ACM)

Subject

Electrical and Electronic Engineering,Computer Graphics and Computer-Aided Design,Computer Science Applications

Reference31 articles.

1. J. Bhasker and R. Chadha. 2009. Static Timing Analysis for Nanometer Designs. Springer. J. Bhasker and R. Chadha. 2009. Static Timing Analysis for Nanometer Designs. Springer.

2. S. Boyd and L. Vandenberghe. 2004. Convex Optimization. Cambridge University Press. S. Boyd and L. Vandenberghe. 2004. Convex Optimization. Cambridge University Press.

3. Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation

Cited by 15 articles. 订阅此论文施引文献 订阅此论文施引文献,注册后可以免费订阅5篇论文的施引文献,订阅后可以查看论文全部施引文献

1. Efficient and Accurate ECO Leakage Optimization Framework With GNN and Bidirectional LSTM;IEEE Transactions on Very Large Scale Integration (VLSI) Systems;2023-09

2. DAGSizer: A Directed Graph Convolutional Network Approach to Discrete Gate Sizing of VLSI Graphs;ACM Transactions on Design Automation of Electronic Systems;2022-12-16

3. An Algorithm for Gate Resizing to Reduce Power Dissipation in Combinational Digital Designs;2022 IEEE 3rd International Conference on Electronics, Control, Optimization and Computer Science (ICECOCS);2022-12-01

4. Limiting Interconnect Heating in Power-Driven Physical Synthesis;Proceedings of the 24th ACM/IEEE Workshop on System Level Interconnect Pathfinding;2022-11-03

5. A Graph Neural Network Method for Fast ECO Leakage Power Optimization;2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC);2022-01-17

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3