Understanding and mitigating refresh overheads in high-density DDR4 DRAM systems

Author:

Mukundan Janani1,Hunter Hillery2,Kim Kyu-hyoun2,Stuecheli Jeffrey3,Martínez José F.1

Affiliation:

1. Cornell University, Ithaca, NY

2. IBM Thomas J. Watson, Yorktown Heights, NY

3. IBM Systems and Tech. Group, Austin, TX

Abstract

Recent DRAM specifications exhibit increasing refresh latencies. A refresh command blocks a full rank, decreasing available parallelism in the memory subsystem significantly, thus decreasing performance. Fine Granularity Refresh (FGR) is a feature recently announced as part of JEDEC's DDR4 DRAM specification that attempts to tackle this problem by creating a range of refresh options that provide a trade-off between refresh latency and frequency. In this paper, we first conduct an analysis of DDR4 DRAM's FGR feature, and show that there is no one-size-fits-all option across a variety of applications. We then present Adaptive Refresh (AR) , a simple yet effective mechanism that dynamically chooses the best FGR mode for each application and phase within the application. When looking at the refresh problem more closely, we identify in high-density DRAM systems a phenomenon that we call command queue seizure , whereby the memory controller's command queue seizes up temporarily because it is full with commands to a rank that is being refreshed. To attack this problem, we propose two complementary mechanisms called Delayed Command Expansion (DCE) and Preemptive Command Drain (PCD) . Our results show that AR does exploit DDR4's FGR effectively. However, once our proposed DCE and PCD mechanisms are added, DDR4's FGR becomes redundant in most cases, except in a few highly memory-sensitive applications, where the use of AR does provide some additional benefit. In all, our simulations show that the proposed mechanisms yield 8% (14%) mean speedup with respect to traditional refresh, at normal (extended) DRAM operating temperatures, for a set of diverse parallel applications.

Funder

Division of Computer and Network Systems

Publisher

Association for Computing Machinery (ACM)

Reference19 articles.

1. JEDEC DDR4 SDRAM Standard 2012. http://www.jedec.org/standards-documents/docs/jesd79--4. JEDEC DDR4 SDRAM Standard 2012. http://www.jedec.org/standards-documents/docs/jesd79--4.

2. ASHRAE Technical Committee. 2011 Thermal Guidelines for Data Processing Environments - Expanded Data Center Classes and Usage Guidance. http://www.eni.com/green-data-center/it_IT/static/pdf/ASHRAE_1.pdf. ASHRAE Technical Committee. 2011 Thermal Guidelines for Data Processing Environments - Expanded Data Center Classes and Usage Guidance. http://www.eni.com/green-data-center/it_IT/static/pdf/ASHRAE_1.pdf.

3. Quantitative Performance Analysis of the SPEC OMPM2001 Benchmarks

4. A Practical Approach to Exploiting Coarse-Grained Pipeline Parallelism in C Programs

5. 8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology

Cited by 14 articles. 订阅此论文施引文献 订阅此论文施引文献,注册后可以免费订阅5篇论文的施引文献,订阅后可以查看论文全部施引文献

1. Approximate data mapping in refresh-free DRAM for energy-efficient computing in modern mobile systems;Computer Communications;2024-02

2. A Survey of Bit-Flip Attacks on Deep Neural Network and Corresponding Defense Methods;Electronics;2023-02-08

3. SAM: Accelerating Strided Memory Accesses;MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture;2021-10-17

4. Refresh Triggered Computation;ACM Transactions on Architecture and Code Optimization;2021-01-21

5. PMSMC: Priority-based Multi-requestor Scheduler for Embedded System Memory Controller;Journal of Parallel and Distributed Computing;2020-05

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3