A Case For Intra-rack Resource Disaggregation in HPC

Author:

Michelogiannakis George1ORCID,Klenk Benjamin2ORCID,Cook Brandon1ORCID,Teh Min Yee3ORCID,Glick Madeleine3ORCID,Dennison Larry2ORCID,Bergman Keren3ORCID,Shalf John1ORCID

Affiliation:

1. Lawrence Berkeley National Laboratory, Berkeley, CA, USA

2. NVIDIA, Santa Clara, CA, USA

3. Columbia University, New York City, NY, USA

Abstract

The expected halt of traditional technology scaling is motivating increased heterogeneity in high-performance computing (HPC) systems with the emergence of numerous specialized accelerators. As heterogeneity increases, so does the risk of underutilizing expensive hardware resources if we preserve today’s rigid node configuration and reservation strategies. This has sparked interest in resource disaggregation to enable finer-grain allocation of hardware resources to applications. However, there is currently no data-driven study of what range of disaggregation is appropriate in HPC. To that end, we perform a detailed analysis of key metrics sampled in NERSC’s Cori, a production HPC system that executes a diverse open-science HPC workload. In addition, we profile a variety of deep-learning applications to represent an emerging workload. We show that for a rack (cabinet) configuration and applications similar to Cori, a central processing unit with intra-rack disaggregation has a 99.5% probability to find all resources it requires inside its rack. In addition, ideal intra-rack resource disaggregation in Cori could reduce memory and NIC resources by 5.36% to 69.01% and still satisfy the worst-case average rack utilization.

Funder

ARPA-E ENLITENED Program

Director, Office of Science, of the U.S. Department of Energy

National Energy Research Scientific Computing Center

U.S. Department of Energy Office of Science User Facility located at Lawrence Berkeley National Laboratory

Publisher

Association for Computing Machinery (ACM)

Subject

Hardware and Architecture,Information Systems,Software

Reference85 articles.

1. [n.d.]. About the Cray Urika-GX Hardware Guide H-6142. Retrieved from https://pubs.cray.com/bundle/Urika-GX_Hardware_Guide_H-6142_Rev_C_Urika-GX_HW_Guide_DITAval/page/Aries_High_Speed_Network_Urika-GX.html.

2. [n.d.]. Characterization of the Cray Aries Network. Retrieved from https://www.nersc.gov/assets/pubs_presos/NUG2014Aries.pdf.

3. [n.d.]. NERSC-10 Workload Analysis (Data from 2018). Retrieved from https://portal.nersc.gov/project/m888/nersc10/workload/N10_Workload_Analysis.latest.pdf.

4. [n.d.]. NVIDIA DGX-1 User Guide. Retrieved from https://images.nvidia.com/content/technologies/deep-learning/pdf/DGX-1-UserGuide.pdf.

5. 2021. NVML. Retrieved from https://developer.nvidia.com/nvidia-management-library-nvml.

Cited by 11 articles. 订阅此论文施引文献 订阅此论文施引文献,注册后可以免费订阅5篇论文的施引文献,订阅后可以查看论文全部施引文献

1. Rcmp: Reconstructing RDMA-Based Memory Disaggregation via CXL;ACM Transactions on Architecture and Code Optimization;2024-01-19

2. Exploring the benefits of using co-packaged optics in data center and AI supercomputer networks: a simulation-based analysis [Invited];Journal of Optical Communications and Networking;2024-01-08

3. Pointers in Far Memory;Communications of the ACM;2023-11-17

4. CXL Memory as Persistent Memory for Disaggregated HPC: A Practical Approach;Proceedings of the SC '23 Workshops of The International Conference on High Performance Computing, Network, Storage, and Analysis;2023-11-12

5. A Quantitative Approach for Adopting Disaggregated Memory in HPC Systems;Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis;2023-11-11

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3