Coherence Attacks and Countermeasures in Interposer-Based Chiplet Systems

Author:

Chacon Gino A.1,Williams Charles1,Knechtel Johann2,Sinanoglu Ozgur2,Gratz Paul V.1,Soteriou Vassos3

Affiliation:

1. Texas A&M University, U.S.A.

2. New York University, Abu Dhabi, United Arab Emirates

3. Cyprus University of Technology, Cyprus

Abstract

Industry is moving towards large-scale hardware systems which bundle processor cores, memories, accelerators, etc. via 2.5D integration. These components are fabricated separately as chiplets and then integrated using an interposer as an interconnect carrier. This new design style is beneficial in terms of yield and economies of scale, as chiplets may come from various vendors and are relatively easy to integrate into one larger sophisticated system. However, the benefits of this approach come at the cost of new security challenges, especially when integrating chiplets that come from untrusted or not fully trusted, third- party vendors. In this work, we explore these challenges for modern interposer-based systems of cache-coherent, multi-core chiplets. First, we present basic coherence-oriented hardware Trojan attacks that pose a significant threat to chiplet-based designs and demonstrate how these basic attacks can be orchestrated to pose a significant threat to interposer-based systems. Second, we propose a novel scheme using an active interposer as a generic, secure-by-construction platform that forms a physical root of trust for modern 2.5D systems. The implementation of our scheme is confined to the interposer, resulting in little cost and leaving the chiplets and coherence system untouched. We show that our scheme prevents a range of coherence attacks with low overheads on system performance, ∼ 4%. Further, we demonstrate that our scheme scales efficiently as system size and memory capacities increase, resulting in reduced performance overheads.

Publisher

Association for Computing Machinery (ACM)

Subject

Hardware and Architecture,Information Systems,Software

Reference84 articles.

1. [n. d.]. Compute Express Link (CXL) www.computeexpresslink.org. Accessed: 2022-10-14. [n. d.]. Compute Express Link (CXL) www.computeexpresslink.org. Accessed: 2022-10-14.

2. [n. d.]. The Ultimate Guide to Chiplets https://anysilicon.com/the-ultimate-guide-to-chiplets/. Accessed: 2023-08-01. [n. d.]. The Ultimate Guide to Chiplets https://anysilicon.com/the-ultimate-guide-to-chiplets/. Accessed: 2023-08-01.

3. [n. d.]. UCIe https://www.uciexpress.org/. Accessed: 2023-08-12. [n. d.]. UCIe https://www.uciexpress.org/. Accessed: 2023-08-12.

4. In-Network Snoop Ordering (INSO): Snoopy coherence on unordered interconnects

5. Fort-NoCs

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3