HierCGRA: A Novel Framework for Large-scale CGRA with Hierarchical Modeling and Automated Design Space Exploration

Author:

Chen Sichao1ORCID,Cai Chang1ORCID,Zheng Su2ORCID,Li Jiangnan1ORCID,Zhu Guowei1ORCID,Li Jingyuan1ORCID,Yan Yazhou1ORCID,Dai Yuan1ORCID,Yin Wenbo1ORCID,Wang Lingli1ORCID

Affiliation:

1. School of Microelectronics, Fudan University, Shanghai, China

2. Department of Computer Science and Engineering, The Chinese University of Hong Kong, Hong Kong, Hong Kong

Abstract

Coarse-grained reconfigurable arrays (CGRAs) are promising design choices in computation-intensive domains, since they can strike a balance between energy efficiency and flexibility. A typical CGRA comprises processing elements (PEs) that can execute operations in applications and interconnections between them. Nevertheless, most CGRAs suffer from the ineffectiveness of supporting flexible architecture design and solving large-scale mapping problems. To address these challenges, we introduce HierCGRA, a novel framework that integrates hierarchical CGRA modeling, Chisel-based Verilog generation, LLVM-based data flow graph (DFG) generation, DFG mapping, and design space exploration (DSE). With the graph homomorphism (GH) mapping algorithm, HierCGRA achieves a faster mapping speed and higher PE utilization rate compared with the existing state-of-the-art CGRA frameworks. The proposed hierarchical mapping strategy achieves 41× speedup on average compared with the ILP mapping algorithm in CGRA-ME. Furthermore, the automated DSE based on Bayesian optimization achieves a significant performance improvement by the heterogeneity of PEs and interconnections. With these features, HierCGRA enables the agile development for large-scale CGRA and accelerates the process of finding a better CGRA architecture.

Publisher

Association for Computing Machinery (ACM)

Reference62 articles.

1. Ensieh Aliagha and Diana Göhringer. 2022. Energy efficient design of coarse-grained reconfigurable architectures: Insights, trends and challenges. In International Conference on Field-Programmable Technology (ICFPT’22). 1–11. DOI:10.1109/ICFPT56656.2022.9974339

2. Jason Anderson, Rami Beidas, Vimal Chacko, Hsuan Hsiao, Xiaoyi Ling, Omar Ragheb, Xinyuan Wang, and Tianyi Yu. 2021. CGRA-ME: An open-source framework for CGRA architecture and CAD research. In IEEE 32nd International Conference on Application-specific Systems, Architectures and Processors (ASAP’21). 156–162. DOI:10.1109/ASAP52443.2021.00030

3. Mahesh Balasubramanian and Aviral Shrivastava. 2022. PathSeeker: A fast mapping algorithm for CGRAs. In Design, Automation & Test in Europe Conference & Exhibition (DATE’22). IEEE, 268–273.

4. Thilini Kaushalya Bandara, Dhananjaya Wijerathne, Tulika Mitra, and Li-Shiuan Peh. 2022. REVAMP: A systematic framework for heterogeneous CGRA realization. In 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS’22). Association for Computing Machinery, New York, NY, 918–932. DOI:10.1145/3503222.3507772

5. An effective multilevel tabu search approach for balanced graph partitioning;Benlic Una;Comput. Operat. Res.,2011

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3