Intermediate Address Space: virtual memory optimization of heterogeneous architectures for cache-resident workloads

Author:

Liu Qunyou1ORCID,Huang Darong1ORCID,Costero Luis2ORCID,Zapater Marina3ORCID,Atienza David1ORCID

Affiliation:

1. Embedded Systems Laboratory (ESL), École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland

2. Dpto. of Computer Architecture and Automatics, Universidad Complutense de Madrid, Madrid, Spain

3. Institute of Reconfigurable & Embedded Digital Systems (REDS), School of Engineering and Management Vaud, University of Applied Sciences Western Switzerland (HES-SO), Yverdon-les-Bains, Switzerland and Embedded Systems Laboratory (ESL), École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland

Abstract

The increasing demand for computing power and the emergence of heterogeneous computing architectures have driven the exploration of innovative techniques to address current limitations in both the compute and memory subsystems. One such solution is the use of Accelerated Processing Units (APUs), processors that incorporate both a central processing unit (CPU) and an integrated graphics processing unit (iGPU). However, the performance of both APU and CPU systems can be significantly hampered by address translation overhead, leading to a decline in overall performance, especially for cache-resident workloads. To address this issue, we propose the introduction of a new intermediate address space (IAS) in both APU and CPU systems. IAS serves as a bridge between virtual address (VA) spaces and physical address (PA) spaces, optimizing the address translation process. In the case of APU systems, our research indicates that the iGPU suffers from significant translation look-aside buffer (TLB) misses in certain workload situations. Using an IAS, we can divide the initial address translation into front- and back-end phases, effectively shifting the bottleneck in address translation from the cache side to the memory controller side, a technique that proves to be effective for cache-resident workloads. Our simulations demonstrate that implementing IAS in the CPU system can boost performance by up to 40% compared to conventional CPU systems. Furthermore, we evaluate the effectiveness of APU systems, comparing the performance of IAS-based systems with traditional systems, showing up to a 185% improvement in APU system performance with our proposed IAS implementation. Furthermore, our analysis indicates that over 90% of TLB misses can be filtered by the cache, and employing a larger cache within the system could potentially result in even greater improvements. The proposed IAS offers a promising and practical solution to enhance the performance of both APU and CPU systems, contributing to state-of-the-art research in the field of computer architecture.

Funder

Intel as part of the Intel Center for Transformative Server Architecture (TSA),

ERDF A way of making Europe

Publisher

Association for Computing Machinery (ACM)

Reference31 articles.

1. Advanced Micro Devices Inc. 2016. AMD GCN3 Instruction Set Architecture. Retrieved March 19 2024 from https://www.amd.com/system/files/TechDocs/gcn3-instruction-set-architecture.pdf. Version 1.1.

2. Paul Alcorn. 2023. AMD instinct MI300 data center APU pictured up close: 13 chiplets 146 billion transistors. Retrieved March 19 2024 from https://www.tomshardware.com/news/amd-instinct-mi300-data-center-apu-pictured-up-close-15-chiplets-146-billion-transistors

3. Mosaic

4. The gem5 simulator

同舟云学术

1.学者识别学者识别

2.学术分析学术分析

3.人才评估人才评估

"同舟云学术"是以全球学者为主线,采集、加工和组织学术论文而形成的新型学术文献查询和分析系统,可以对全球学者进行文献检索和人才价值评估。用户可以通过关注某些学科领域的顶尖人物而持续追踪该领域的学科进展和研究前沿。经过近期的数据扩容,当前同舟云学术共收录了国内外主流学术期刊6万余种,收集的期刊论文及会议论文总量共计约1.5亿篇,并以每天添加12000余篇中外论文的速度递增。我们也可以为用户提供个性化、定制化的学者数据。欢迎来电咨询!咨询电话:010-8811{复制后删除}0370

www.globalauthorid.com

TOP

Copyright © 2019-2024 北京同舟云网络信息技术有限公司
京公网安备11010802033243号  京ICP备18003416号-3